Investigate the high-level HDL Chisel

  • Chisel (Constructing Hardware in a Scala embedded language) is a new programming language, which embedded in Scala, used for hardware synthesis. It aims to increase productivity when creating hardware by enabling designers to use features present in higher level programming languages to build complex hardware blocks. In this paper, the most advertised features of Chisel are investigated and compared to their VHDL counterparts, if present. Afterwards, the authors’ opinion if a switch to Chisel is worth considering is presented. Additionally, results from a related case study on Chisel are briefly summarized. The author concludes that, while Chisel has promising features, it is not yet ready for use in the industry.

Volltext Dateien herunterladen

Metadaten exportieren

Metadaten
Verfasser*innenangaben:Florian Heilmann, Christian Brugger, Norbert Wehn
URN:urn:nbn:de:hbz:386-kluedo-36380
Dokumentart:Bericht
Sprache der Veröffentlichung:Englisch
Datum der Veröffentlichung (online):28.10.2013
Datum der Erstveröffentlichung:18.10.2013
Veröffentlichende Institution:Technische Universität Kaiserslautern
Datum der Publikation (Server):28.10.2013
Freies Schlagwort / Tag:Chisel; Field-programmable gate array (FPGA); Hardware Description Langauge (HDL); Investigation
Seitenzahl:2
Fachbereiche / Organisatorische Einheiten:Kaiserslautern - Fachbereich Elektrotechnik und Informationstechnik
DDC-Sachgruppen:6 Technik, Medizin, angewandte Wissenschaften / 620 Ingenieurwissenschaften und Maschinenbau
Lizenz (Deutsch):Standard gemäß KLUEDO-Leitlinien vom 10.09.2012